Znaleziono 24 wyniki

autor: pppp
13 kwietnia 2022, o 12:03
Forum: Telewizory, Dekodery Zewnętrzne (STB) i Tunery Komputerowe
Temat: Canal Plus Box
Odpowiedzi: 78
Odsłony: 25378

Re: Canal Plus Box

Jak zdjąc blokadę 18+ bo wkurza mnie kłódka w wieczornych porach na połowie kanałów? update. Chyba znalazłem: Jak rozwiązać problem z blokadami kanałów naziemnych w godzinach nocnych? Trzeba przywrócić boxa do ustawień fabrycznych a następnie nie aktywować go przez stronę online. Następnie dla wygod...
autor: pppp
10 listopada 2017, o 17:42
Forum: Zagadnienia techniczne
Temat: Miernik sygnału DVB-T
Odpowiedzi: 175
Odsłony: 110681

Re: Miernik sygnału DVB-T

Ja nie wiem co ty widzialeś za sztukę ale problemów z LCD na PCM-1210 nie ma. W poprzedniku też nie było choć poziom jasności był tylko jeden. Ponadto teraz widzę w analizatorze DVB-T i S że oba mają zakres do 100dBuV. A poprzedni PCM-1200 o ile pamiętam miał 80dbuV dla DVB-T i 100dBuV dla DVB-S. Wi...
autor: pppp
10 listopada 2017, o 12:36
Forum: Zagadnienia techniczne
Temat: Miernik sygnału DVB-T
Odpowiedzi: 175
Odsłony: 110681

Re: Miernik sygnału DVB-T

Aj tam aj tam. 80dbuV to dużo jak na dvb-t i unikam takich poziomów.
A jesli już jest problem z widocznośćią na lcd to nie w ciemności a na słońcu :)
autor: pppp
9 listopada 2017, o 21:36
Forum: Zagadnienia techniczne
Temat: Miernik sygnału DVB-T
Odpowiedzi: 175
Odsłony: 110681

Re: Miernik sygnału DVB-T

Na marginesie wynalazłem że słynny Neon jest... ruski z bazą główną w Cheljabińsku http://www.planarchel.ru/contacts-1 To jest marka Planar, modele IT-15, IT-15T2, IT-12 to odpowiednio Neon DVB-T, Neon+ DVB-T, Neon DVB-S I co ciekawe publikują firmware http://www.planarchel.ru/products/measurement-i...
autor: pppp
9 listopada 2017, o 21:11
Forum: Zagadnienia techniczne
Temat: Miernik sygnału DVB-T
Odpowiedzi: 175
Odsłony: 110681

Re: Miernik sygnału DVB-T

A to się zdziwisz bo pomiary MER, BER i poziomu w PCM-1210 / Amiko Multitracker2 nie odstają od Neona i Devisera. Soft który dają domyślnie jest stary i należy od razu zmienić. Graficznie podobny do poprzednika lecz sporo rozbudowany. Analiza widma też mi bardziej się podoba w PCM-1210 niż w Neonie/...
autor: pppp
9 listopada 2017, o 19:02
Forum: Zagadnienia techniczne
Temat: Miernik sygnału DVB-T
Odpowiedzi: 175
Odsłony: 110681

Re: Miernik sygnału DVB-T

Właśnie wymieniłem PCM-1200 na PCM-1210 czyli Amiko Multitracker2. Na dzień dobry trzeba zmienić soft bo dają fabrycznie 4.3 a na stronie Amiko jest 5.02 i na nim działa kompas jak należy. Przewaga PCM-1210 nad PCM-1200 jest spora. Chociażby jest elektroniczny żyro-kompas, konstelacja DVB-S/S2 live,...
autor: pppp
18 lutego 2017, o 20:38
Forum: Zagadnienia techniczne
Temat: Miernik sygnału DVB-T
Odpowiedzi: 175
Odsłony: 110681

Re: Miernik sygnału DVB-T

Przy okazji
http://chomikuj.pl/dantech/Megasat+HD3+ ... ltitracker

soft megasat v4.0

no i prośba o odpowiedz na pytanie powyżej odnośnie baterii
autor: pppp
18 lutego 2017, o 14:11
Forum: Zagadnienia techniczne
Temat: Miernik sygnału DVB-T
Odpowiedzi: 175
Odsłony: 110681

Re: Miernik sygnału DVB-T

Czy tez tak macie w pcm 1200 ze gdy nie jest uzywany np.miesiac to baterie sa rozladowane?
Nie wiem czy ten typ tak ma czy tylko moj.
autor: pppp
20 listopada 2016, o 12:58
Forum: Zagadnienia techniczne
Temat: Miernik sygnału DVB-T
Odpowiedzi: 175
Odsłony: 110681

Re: Miernik sygnału DVB-T

Jest soft v3.9 dla PCM-1200 i Megasat HD3 Combo. Tym razem z brandu Amiko Multitracker 1

http://chomikuj.pl/dantech
autor: pppp
1 września 2016, o 11:07
Forum: Zagadnienia techniczne
Temat: Miernik sygnału DVB-T
Odpowiedzi: 175
Odsłony: 110681

Re: Miernik sygnału DVB-T

A to jakis dzołk? :)

to za 20 to równie dobrze żarówki mógłbyś użyć do ustawiania anten
autor: pppp
31 lipca 2016, o 21:38
Forum: Zagadnienia techniczne
Temat: Miernik sygnału DVB-T
Odpowiedzi: 175
Odsłony: 110681

Re: Miernik sygnału DVB-T

Strraaasznie niezaradny jesteś i słabo patrzysz. I słabo czytasz też odnośnie softu i listy kanałów.

To porysowane to właśnie folia...
autor: pppp
31 lipca 2016, o 16:55
Forum: Zagadnienia techniczne
Temat: Miernik sygnału DVB-T
Odpowiedzi: 175
Odsłony: 110681

Re: Miernik sygnału DVB-T

Kolego amatorr weź i sobie przeczytaj wątek dokładnie. Podany masz na tacy soft do edycji i co trzeba robić. Mi sie juz nie chce prowadzić indywidualnych korepetycji
autor: pppp
31 lipca 2016, o 08:13
Forum: Zagadnienia techniczne
Temat: Miernik sygnału DVB-T
Odpowiedzi: 175
Odsłony: 110681

Re: Miernik sygnału DVB-T

po wgraniu wlasnej listy nalezy przywrocic ustawienia aby pokazala sie nowa lista
autor: pppp
31 lipca 2016, o 08:12
Forum: Zagadnienia techniczne
Temat: Miernik sygnału DVB-T
Odpowiedzi: 175
Odsłony: 110681

Re: Miernik sygnału DVB-T

moze sie zdarzyc ze rozne satelity maja identyczne parametry transponderow. najlepiej zaladowac wlasna liste i ograniczyc satelite do 4 charakterystycznych transponderow dla HD wedlug schematu LH HH LV HV
autor: pppp
27 lipca 2016, o 21:24
Forum: Zagadnienia techniczne
Temat: Miernik sygnału DVB-T
Odpowiedzi: 175
Odsłony: 110681

Re: Miernik sygnału DVB-T

A uścislając to sygnał-szum ma podobne wartości w dB jak MER zarówno dla DVB-T/T2 jak i DVB-S/S2. Dla satelity oscyluje wokół 12dB i w górę. Oczywiście im wyżej tym lepiej. Dla naziemnej wokół 22 dB i w górę, bywa że i 30 dB. Poziom dla naziemnej 45uV-80uV.
autor: pppp
21 lipca 2016, o 07:32
Forum: Zagadnienia techniczne
Temat: Miernik sygnału DVB-T
Odpowiedzi: 175
Odsłony: 110681

Re: Miernik sygnału DVB-T

O satelicie i naziemnej. Bez MER róznie dobrz sobie poradzisz mając CNR i SNR. I tyle
autor: pppp
19 lipca 2016, o 09:29
Forum: Zagadnienia techniczne
Temat: Przejściówka SCART -> VGA
Odpowiedzi: 11
Odsłony: 25249

Re: Przejściówka SCART -> VGA

NIe ma najmniejszej szansy zadziałać z prostej przyczyny. Odchylanie w TV jest 2x mniejsze (w najgorszym razie) od odchylania SVGA. Przede wszystkim tego rodzaju przejściówki mają racje bytu z kartami graficznymi jedynie bo można programowo zmusić kartę (w niktórych przypadkach oczywiście) do zmiany...
autor: pppp
19 lipca 2016, o 09:25
Forum: Zagadnienia techniczne
Temat: Miernik sygnału DVB-T
Odpowiedzi: 175
Odsłony: 110681

Re: Miernik sygnału DVB-T

CNR traktuj tak samo jak MER. Jak dla mnie MER jest obciążony ewentualnymi błędami demodulacji pochodzącymi z samego demodulatora. Przykład: Według Neona DVB-T MER był taki sobie a wręcz niepokojący a według telewizora wszystko na 100% i mało tego stabilne. CNR wyklucza takie błędy
autor: pppp
15 lipca 2016, o 23:49
Forum: Zagadnienia techniczne
Temat: Miernik sygnału DVB-T
Odpowiedzi: 175
Odsłony: 110681

Re: Miernik sygnału DVB-T

Cytuję: "CNR ("Jakość") określa parametry sygnału przez demodulacją (uzyskaniem sygnału cyfrowego), a BER - określa jakości sygnału cyfrowego już po demodulacji i dlatego należy go uznać za bardziej odpowiedni dla oceny jakości sygnału" Tu jednak bym się sprzeczał bo nie interesu...
autor: pppp
13 lipca 2016, o 23:33
Forum: Zagadnienia techniczne
Temat: Miernik sygnału DVB-T
Odpowiedzi: 175
Odsłony: 110681

Re: Miernik sygnału DVB-T

Praktycznie to i tak ustawiasz na maksimum sygnałów. Wiec z praktycznego punktu widzenia nie ma znaczenia co i jak się nazywa . A juz jak słyszę baranów co się jarają konstelacją to mi ręce opadają... Kto tego kuźwa używa normalny