Miernik sygnału DVB-T

Różne zagadnienia techniczne: EPG, telegazeta, dźwięk, SSU, dane, i inne
PlastikowyLudzik
Posty: 3
Rejestracja: 7 czerwca 2016, o 21:02

Re: Miernik sygnału DVB-T

Post autor: PlastikowyLudzik »

dzieki bardzo za info
udalo sie, wlasnie otrzymali dostawe

@DVB-T/S
udalo mi sie zdobyc oprogramowanie do tego miernika, jesli jestes zainteresowany daj znac to Ci udostepnie

pozdr

mixa
Posty: 1
Rejestracja: 22 czerwca 2016, o 15:38

Re: Miernik sygnału DVB-T

Post autor: mixa »

Cześć.Wyślij te oprogramowanie na bandurm@ukr.net dziękuję

Awatar użytkownika
DVB-T/S
Posty: 2653
Rejestracja: 24 października 2011, o 19:27
Odbiornik: Tuner w kompie i 2 TV + STB
Instalacja antenowa: Antena telewizyjna profesjonalna UHF ATV 21/21-60, 65km
Nadajnik - obiekt nadawczy: Ślęża

Re: Miernik sygnału DVB-T

Post autor: DVB-T/S »

Niestety ale nie chce się wgrać :(
Jeszcze pokombinuję...

PlastikowyLudzik
Posty: 3
Rejestracja: 7 czerwca 2016, o 21:02

Re: Miernik sygnału DVB-T

Post autor: PlastikowyLudzik »

DVB-T/S
z czym masz problem? co nie chce sie wgrac?

pppp
Posty: 24
Rejestracja: 25 czerwca 2016, o 22:10

Re: Miernik sygnału DVB-T

Post autor: pppp »

Odnośnie Digitsat Premium PCM-1200... Bardzo fajna i prosta maszyna.
Troche konstrukcyjnie i możliwościami skromniejsza od Neona i Devisera no ale cos za coś.

Tu jest firmware i edytor listy sat:

http://chomikuj.pl/dantech/Megasat+HD3+ ... m+PCM-1200

Sprawdziłem osobiście i Megasat HD3 Combo i Digitsat Premium PCM-1200 to w 100% to samo.

Megasat wychodzi z softem v3.4 angielsko/niemieckim a Digitsat wychodzi z softem v3.8 w wersji angielsko/polskie. Wersja v3.8 szybciej startuje i ma pełną listę kanałóœ naziemnych w odroznieniu od wybrakowanej w v3.4.

Załączam paczuszkę z dwoma softami, narzedziem do aktualizacji i narzedziem do edycji listy sat.
Patent polega na tym, że liste sat edytuje sie bezposrednio w pliku softu. Dopiero potem wyedytowany soft laduje sie do miernika. Edytor nazywa sie combo_meter.exe a aktualizator nazywa się ITTSAT_DFU.exe. Nie da sie uwalic miernika. Po aktualizacji trzeba przywrocic domyslne ustawienia miernika zeby pojawila sie nowa lista.

Przed aktualizacją nalezy podlaczyc miernik do usb i dopiero wlaczyc. Ekran bedzie ciemny. Jak pierwszy raz podlaczamy to Windows poprosi o sterownik. I to w zasadzie wszystko.
Ostatnio zmieniony 28 czerwca 2016, o 21:09 przez pppp, łącznie zmieniany 1 raz.

Awatar użytkownika
DVB-T/S
Posty: 2653
Rejestracja: 24 października 2011, o 19:27
Odbiornik: Tuner w kompie i 2 TV + STB
Instalacja antenowa: Antena telewizyjna profesjonalna UHF ATV 21/21-60, 65km
Nadajnik - obiekt nadawczy: Ślęża

Re: Miernik sygnału DVB-T

Post autor: DVB-T/S »

Właśnie dziś mnie olśniło i po przywróceniu widać wgrane satelity :)

an10ka-dx
Posty: 316
Rejestracja: 5 stycznia 2011, o 20:19
Miejscowość: opolskie
Odbiornik: LG, PHILIPS oraz inne urządzenia do testów :)
Instalacja antenowa: yagi-19elem+LNA-101
Rozgałęźnik 2xTV
Nadajnik - obiekt nadawczy: Skrzyczne ok.93km do nadajnika

Re: Miernik sygnału DVB-T

Post autor: an10ka-dx »

Witam!
Dostałem od firmy Azart.Sklep.PL nowy soft do PCM-1200. Mam problem z jego wgraniem. Niby robię wszystko wg informacji które otrzymałem ale po wykonaniu TYCH czynności
1. Power off the meter
2. Connect the meter and PC by USB cable.
3. Power on the meter.
4. Run ITTSAT_DVB_DFU.exe
I wtedy wyskakuje informacja:
ITTSAT_DVB_DFU.exe - Błąd systemu (nie można uruchomić programu, ponieważ na komputerze nie znaleziono libus0.dll.

ps. czym różni się nowy soft i co zmienia w mierniku?

pppp
Posty: 24
Rejestracja: 25 czerwca 2016, o 22:10

Re: Miernik sygnału DVB-T

Post autor: pppp »

Opisałem przeciez wyzej na czym polega patent z softem i listą. A ty masz problem ze sterownikiem

Podeslij mi soft to powiem ci co to. Ja mam tu v3.8

http://chomikuj.pl/dantech/Megasat+HD3+ ... m+PCM-1200
Ostatnio zmieniony 28 czerwca 2016, o 21:11 przez pppp, łącznie zmieniany 2 razy.

pppp
Posty: 24
Rejestracja: 25 czerwca 2016, o 22:10

Re: Miernik sygnału DVB-T

Post autor: pppp »

W Azarcie też mają v3.8. I wszystko jasne
Ostatnio zmieniony 29 czerwca 2016, o 08:31 przez pppp, łącznie zmieniany 1 raz.

pppp
Posty: 24
Rejestracja: 25 czerwca 2016, o 22:10

Re: Miernik sygnału DVB-T

Post autor: pppp »

A producentem jest ITTSAT z Shenzen :)
Produkt nazywa się ITTSAT IE605


http://www.ittsat.com/Products/Meter/Fi ... 17/39.html

amatorr
Posty: 12
Rejestracja: 13 lipca 2016, o 21:27

Re: Miernik sygnału DVB-T

Post autor: amatorr »

Witam kolegów i koleżanki. Jestem tu nowy, zaczynam dopiero w tych sprawach raczkować, więc proszę nie gniewajcie się.
Kupiłem ten miernik i mam kilka pytań

Dlaczego tam pokazuje w TV SAT power/pwr w dBuV a nie dBm?

I co oznacza CNR i do czego przydaje się współczynnik S/N przy pomiarze cyfrowym?
CBER(BER przed FEC) bieżącego sygnału czyli przed korekcją błędu?
To to samo co BER? Czym wiecej FEC tym lepiej?
LBER, napisali, że to wartość LBER(BER przed LDPC), co to jest LDPC?

Czy da się jakoś pośrednio wyznaczyć MER?

Czy ktoś ma instrukcję do miernika Megaset hd3? Bo podobno to taki sam miernik?

pppp
Posty: 24
Rejestracja: 25 czerwca 2016, o 22:10

Re: Miernik sygnału DVB-T

Post autor: pppp »

Praktycznie to i tak ustawiasz na maksimum sygnałów. Wiec z praktycznego punktu widzenia nie ma znaczenia co i jak się nazywa . A juz jak słyszę baranów co się jarają konstelacją to mi ręce opadają... Kto tego kuźwa używa normalny

amatorr
Posty: 12
Rejestracja: 13 lipca 2016, o 21:27

Re: Miernik sygnału DVB-T

Post autor: amatorr »

No tak ale wolałbym wiedzieć co mierzę:)

pppp
Posty: 24
Rejestracja: 25 czerwca 2016, o 22:10

Re: Miernik sygnału DVB-T

Post autor: pppp »

Cytuję:
"CNR ("Jakość") określa parametry sygnału przez demodulacją (uzyskaniem sygnału cyfrowego), a BER - określa jakości sygnału cyfrowego już po demodulacji i dlatego należy go uznać za bardziej odpowiedni dla oceny jakości sygnału"

Tu jednak bym się sprzeczał bo nie interesuje nas przecież wpływ procesu demodulacji ... miernika

W praktyce to zawracanie D... Podobnie jak skala poziomu dBm czy dBuV. Jedni lubią ta a inni tamto. Jak powiedziałem wcześniej są i tacy co się podniecają konstelacja tylko że na dachu nikt tego nie używa :)


Wiki
https://en.wikipedia.org/wiki/Carrier-to-noise_ratio

amatorr
Posty: 12
Rejestracja: 13 lipca 2016, o 21:27

Re: Miernik sygnału DVB-T

Post autor: amatorr »

Jakie minimalne wartości BER powinny być w DVB-T i DVB-S?
Jakie wartości SNR minimalne powinny być dla DVB-T a CNR dla DVB-S (tak mierzy ten miernik)?
Czy wy bez podłączenia anteny do tego miernika też macie jakąś siłę sygnału?
W porównaniu z tunerem cabletechu jest różnica o kilka procent mniejsze wskazanie na mierniku niż na dekoderze. To normalne?

Szkoda, że nie ma MER ale cena jest atrakcyjna nie ma co wymagać

pppp
Posty: 24
Rejestracja: 25 czerwca 2016, o 22:10

Re: Miernik sygnału DVB-T

Post autor: pppp »

CNR traktuj tak samo jak MER. Jak dla mnie MER jest obciążony ewentualnymi błędami demodulacji pochodzącymi z samego demodulatora. Przykład: Według Neona DVB-T MER był taki sobie a wręcz niepokojący a według telewizora wszystko na 100% i mało tego stabilne. CNR wyklucza takie błędy

amatorr
Posty: 12
Rejestracja: 13 lipca 2016, o 21:27

Re: Miernik sygnału DVB-T

Post autor: amatorr »

Mówisz o satelicie? Ok ale zobacz np.: jak odbiór radzi sobie przy zakłoceniach. Właśnie od tego jest MER.
Kiedyś tego nie było i ludzie sobie radzili?

USALS się przydaje? Ogólnie każda satelita ma dużo transponderów i na nich są umieszczane kanały?

Porównywałaś wskazania Neona i digitsata?

pppp
Posty: 24
Rejestracja: 25 czerwca 2016, o 22:10

Re: Miernik sygnału DVB-T

Post autor: pppp »

O satelicie i naziemnej. Bez MER róznie dobrz sobie poradzisz mając CNR i SNR. I tyle

pppp
Posty: 24
Rejestracja: 25 czerwca 2016, o 22:10

Re: Miernik sygnału DVB-T

Post autor: pppp »

A uścislając to sygnał-szum ma podobne wartości w dB jak MER zarówno dla DVB-T/T2 jak i DVB-S/S2. Dla satelity oscyluje wokół 12dB i w górę. Oczywiście im wyżej tym lepiej. Dla naziemnej wokół 22 dB i w górę, bywa że i 30 dB. Poziom dla naziemnej 45uV-80uV.

amatorr
Posty: 12
Rejestracja: 13 lipca 2016, o 21:27

Re: Miernik sygnału DVB-T

Post autor: amatorr »

Chyba 80 to za dużo.

Czy u was tak się zachowuje, że jak ustawicie tym miernikiem na szukaną satelitę na hotbirda i transponder też jest z hotbirda i wskazuje wam siłę i jakość potem skanujecie programy a tam macie kanały z ASTRA 2???

Zablokowany