Strona 2 z 9

Podłączenie

: 8 lipca 2012, o 20:49
autor: swanki
Witam,

Mam taki sprzęt antenę OPTICUM AX1000, rozdzielacz antenowy z trójnikiem, miernik sygnału i płytkę wzm3tv.

Podłączyłem zasilacz który daje wzmocnienie sygnału na trójnik do trójnika podłączona jest antena OPTICUM AX1000, i na wyjściu miernik. Wskazówka miernika nie reaguje. Wszytko podłączane jest w bloku na 3 piętrze i w zamkniętym pomieszczeniu. Co jest nie tak?


pozdrawiam,
swanki

Re: Podłączenie

: 9 lipca 2012, o 07:46
autor: JaroslawMroczkowski
po pierwsze nie ten dział ... przeniesiemy

po drugie podaj więcej szczegółów. co to za miernik? bo woltomierzem miernika uniwersalnego sygnału z anteny nawet po wzmacniaczu raczej nie zmierzysz...

Re: Podłączenie

: 9 lipca 2012, o 10:07
autor: swanki
Wybacz nie wiedziałem do jakiego działu wklepać mam miernik Titanium satellite finder. FREQ 950-2150 MHZ. Power 13-18v. Napisz jakie informacje jeszcze potrzebujesz. Miernik ma 2 wejścia jedno jest oznaczone TO REC 2) TO LNB ( co to za znaczenia).

Re: Podłączenie

: 9 lipca 2012, o 11:28
autor: Jarosław Bałuka
Antenę do telewizji naziemnej próbujesz mierzyć miernikiem sygnału telewizji satelitarnej.

Re: Miernik sygnału DVB-T

: 9 lipca 2012, o 13:22
autor: swanki
Z tego co się zorientowałem tv cyfrowa nadaje na ~450 - 850 MHZ,a mam miernik na pk 950 MHZ do 2150 MHZ http://[nie podajemy linków do serwisów aukcyjnych]/miernik-sygnalu-satel ... 82928.html. Do czego jest on używany? Czy mógł by mi ktoś wysłać link do przykładowego miernika?

Re: Miernik sygnału DVB-T

: 9 lipca 2012, o 19:44
autor: adac
Link do instrukcji po polsku, wersja dla leniwych: 7od8cw
I jeszcze suplement:
Ten sprzęt możesz też użyć do dvb-t bo jest z gatunku 'mierzę wszystko', ale raczej do zabawy.
Deklarowana czułość 65 dB/μV jest raczej odpowiednia dla gniazdka abonenckiego a nie do naziemnej anteny.
Testować można tylko na instalacji ze wzmacniaczem w antenie i zasilaczem, inaczej ustrojstwo nie zadziała.
Sposób podłączenia taki jak w instrukcji, tylko zamiast talerza z LNB będzie yaga z LNA (nie pomylić).
Napisz o wynikach eksperymentów :roll:

Re: Miernik sygnału DVB-T

: 9 lipca 2012, o 21:31
autor: swanki
Czy mógłbyś podać link tego talerz LNB i wytłumaczyć czym jest yaga z LNA. Udało się podłączyć antenę ustawiłem na południowy zachód odbiera 14 kanałów W tym czasami czeskie.

Odp: Miernik sygnału DVB-T

: 9 lipca 2012, o 22:12
autor: DVBManiak
Yaga to antena kierunkowa, a LNA to seria wzmacniaczy dopuszkowych. Zresztą Google chyba działa, więc poszukaj trochę.

Re: Miernik sygnału DVB-T

: 9 lipca 2012, o 22:25
autor: adac
dodam: talerz z LNB, czyli antena satelitarna z konwerterem.
Zróbmy tak: jeżeli udało się ustawić tą antenę i jest odbiór, to dajmy sobie spokój z pomiarami, bo może być gorzej.
Większy problem to ja bym widział z Twoim tunerkiem, a szczegóły są <TU>

Re: Miernik sygnału DVB-T

: 14 sierpnia 2012, o 13:37
autor: genek75
Polecam artykuł opisujący miernik do DVB-T.

http://www.dvbt.pl/pomiar-naziemnych-sy ... vbt-cofdm/

Jaki tuner DVB-T na usb - ma służyć jako miernik

: 18 sierpnia 2012, o 08:40
autor: Daro1003
Witam

Chciałbym zakupić tuner na USB lub na EXPRESS CARD który będzie mi służył jako miernik sygnału do ustawień anten odbioru cyfrowej TV naziemnej DVB-T. Zupełnie nie mam pojęcia jaki tuner wybrać do takich celów żeby miał również odpowiednie oprogramowanie. Proszę o polecenie czegoś.
pozdrawiam Dariusz

Re: Miernik sygnału DVB-T

: 18 sierpnia 2012, o 14:43
autor: Anduraki
A co do miernika w sensie miernika - to zastanawiam się po co są mierniki w których nie można nic ustawić, ani kanału ani częstotliwości, tylko pokazuje wszystko co łapie - taki miernik dla mnie to zwykła bezwartościowa zabawka, bo jak ktoś chce ustawić pod konkretny multipleks to potrzebuje sprawdzić siłę sygnału właśnie dla jednej częstotliwości a nie całego zasięgu.

Re: Miernik sygnału DVB-T

: 28 listopada 2012, o 19:32
autor: K63
Dostępna jest już nowa wersja miernika Abovio Neon+ :

Cechy urządzenia:
- Możliwość zasilania przedwzmacniacza antenowego 12/24 VDC
- Możliwość upgrade do DVBT 2

- Dla kanałów analogowych: poziom kanałów, stosunek V/A, stosunek C/N
- Dla kanałów cyfrowych: moc kanału, MER, preBER, postBER
- Tryby pomiarów: poziom, Full-Scan, spektrum, MER/BER, konstelacja
- Rejestrator danych: plany kanałów i pomiarów, możliwość tworzenia raportów
- Software PC pozwala na: pracę w wirtualnym trybie przyrządu: pomiar i wyświetlanie parametrów sygnału w formie wykresu słupkowego, analiza spektrum, parametry jakosci odbieranego sygnału DVB-T i wykres konstelacji; wyświetlanie, tworzenie, edytowanie, załadowywanie do analizatora i zachowywanie tablic systemu TV, plany kanałów, strony rejestratora pomiarów; zachowywanie i wydruk raportów pomiarów
- Aktualizacja firmware
- Zapamiętuje 99 planów kanałów

http://www.abovio.vector.com.pl/neon-mi ... we-abovio/

Re: Miernik sygnału DVB-T

: 4 grudnia 2012, o 14:31
autor: genek75

Re: Miernik sygnału DVB-T

: 17 stycznia 2013, o 16:31
autor: Anduraki
Mam pytanie do was, jak sądzicie o następującym połączeniu Miernik DVB-T(ten za 30 zł) + filtr kanałowy (gdzieś widziałem filtr, gdzie można ustawiać kanały które ma tłumić) służący do testowania ustawienia anteny pod konkretny mux. Bo jakoś nie widzi mi się wydawać 800 zł na miernik gdzie można ustawić częstotliwość, kanał itp.

Re: Jaki tuner DVB-T na usb - ma służyć jako miernik

: 19 stycznia 2013, o 01:14
autor: tomarex
Daro1003 pisze:Witam
Chciałbym zakupić tuner na USB lub na EXPRESS CARD który będzie mi służył jako miernik sygnału do ustawień anten odbioru cyfrowej TV naziemnej DVB-T. Zupełnie nie mam pojęcia jaki tuner wybrać do takich celów żeby miał również odpowiednie oprogramowanie. Proszę o polecenie czegoś.
pozdrawiam Dariusz
Profesjonalne oprogramowanie do pomiarów DVB-T na komputerze:
http://www.dvbtmeter.com/
Ciekawe ile to kosztuje. Dostęp tylko przez e-mail.

Re: Miernik sygnału DVB-T

: 19 stycznia 2013, o 13:14
autor: K63
Anduraki pisze:Mam pytanie do was, jak sądzicie o następującym połączeniu Miernik DVB-T(ten za 30 zł) + filtr kanałowy (gdzieś widziałem filtr, gdzie można ustawiać kanały które ma tłumić) służący do testowania ustawienia anteny pod konkretny mux. Bo jakoś nie widzi mi się wydawać 800 zł na miernik gdzie można ustawić częstotliwość, kanał itp.
No i co Ci to da ? Nawet jeżeli już jakoś to skonfigurujesz pod dany kanał, to i tak będziesz miał tylko wskazanie poziomu sygnału. Będziesz tylko wiedział, że na danym kanale coś złapałeś lub nie. I nadal nic nie będziesz wiedział o istotnych parametrach jakości sygnału (BER,MER).

Re: Miernik sygnału DVB-T

: 19 stycznia 2013, o 15:46
autor: Anduraki
Tak, ale to zawsze lepsze niż latanie do domu i sprawdzanie ile % siły i jakości i tak w kółko. Najtańszy miernik DVB-T z tymi funkcjami jest za 800 zł czy coś w tym stylu.

Re: Miernik sygnału DVB-T

: 19 stycznia 2013, o 16:53
autor: _chris_
@ Anduraki: a masz laptop albo dostęp do laptopa?

Re: Miernik sygnału DVB-T

: 19 stycznia 2013, o 18:52
autor: Anduraki
Mam, co prawda BGA siada mi na układzie graficznym, ale z tego co słyszałem głowice w tunerach USB są bardzo słabe i wymagają znacznie większej jakości sygnału aby odbierać program. Też nad tym myślałem, pytałem kiedyś o dobry tuner, ale ludzie z forum mi odradzali, jako, że głowice są za słabe i ze słabym sygnałem sobie nie poradzą.